[VerilogHDL] C&Verilog차이, SystemVerilog 기본, 8bit Adder FND, 만진 카운터
VerilogHDL/Study2024. 5. 16. 02:20[VerilogHDL] C&Verilog차이, SystemVerilog 기본, 8bit Adder FND, 만진 카운터

1.  C언어 vs Verilog    1. C언어 : Sequential(잇달아)        → Polling 방식으로 위에서부터 아래로 차례대로 실행   2. Verilog : Concurrency(동시성)        → 동시 실행  2.  8bit Adder FND  2-1.  8bit Adder 만들기더보기`timescale 1ns / 1psmodule Adder_8bit ( input [7:0] a, input [7:0] b, input cin, output [7:0] sum, output co); wire w_carry0; Adder_4bit U_4bitAdder0 ( .a (a[3:0]), .b (b[3:0]), ..

[VerilogHDL] System Verilog, 4bit Adder FND 출력
VerilogHDL/Study2024. 5. 16. 01:45[VerilogHDL] System Verilog, 4bit Adder FND 출력

1.  System Verilog 문법 사용하여 Verification  4bit Adder Simulation콘솔창에 출력하기 더보기4bit Adder Desing source`timescale 1ns / 1psmodule Adder ( input [3:0] a, input [3:0] b, input cin, output [3:0] sum, output co); wire [2:0] w_carry; fullAdder U_FA0 ( .a(a[0]), .b(b[0]), .cin(cin), // 1bit 이진수 0 .sum(sum[0]), .cout(w_carry[0]) ); fullAdder U..

image